リスト2-5 bit_8_counter_fast.v


001 `timescale 1ns / 1ps
002 ///////////////////////////////////////////////////////////////////////////////
003 // Create Date:    2014,11,23 
004 // Module Name:    bit_8_counter_fast
005 ///////////////////////////////////////////////////////////////////////////////
006 module bit_8_counter_fast(
007 	input wire  clk0,
008 	output wire [7:0] led
009 );
010 
011 	assign led=count256;
012 	reg[23:0] c=0;
013 
014 	always @( posedge clk0 )begin
015 		if( c==24'd9999999 )
016 			c <= 0;
017 		else
018 			c <= c + 1'b1;
019 	end 
020 	
021 	reg[7:0] count256 = 8'b0;
022 	always @( negedge c[23] )begin
023 		count256 <= count256 + 1'b1;
024 	end
025 
026 endmodule

リスト2-6 bit_8_counter_fast.ucf


001 NET "clk0"	LOC = L15;	// CLK
002 
003 NET "led(7)"	LOC = N12;	// LD7
004 NET "led(6)"	LOC = P16;	// LD6
005 NET "led(5)"	LOC = D4;	// LD5
006 NET "led(4)"	LOC = M13;	// LD4
007 
008 NET "led(3)"	LOC = L14;	// LD3
009 NET "led(2)"	LOC = N14;	// LD2
010 NET "led(1)"	LOC = M14;	// LD1
011 NET "led(0)"	LOC = U18;	// LD0

ssatoh@

足立工科大学 工学部 情報通信工学科